Jump to content

deninho

Premium Members
  • Posts

    18.102
  • Εγγραφή

  • Τελευταία Επίσκεψη

  • Ημέρες που κέρδισε

    31

Posts posted by deninho

  1. 27 minutes ago, wrong method said:

    αφού εξαντλήσαμε όλους τους δυνατούς τρόπους σύνδεσης και φάγαμε κανένα 15λεπτο στο τηλέφωνο,μου αποκάλυψε ότι δεν το είχε στην πρίζα   :noexpression:

     

    • Like 3
  2. 3 hours ago, panos444 said:

    πως κάνω πριντ σκριν από το παιχνίδι; Δεν πιάνει το κλασσικό με το κουμπί, γι αυτό ρωτώ!

    win key + prnt screen, και αποθηκεύεται αυτόματα ;)

    • Like 1
  3. εγώ βιαζόμουν να πάω στο KV-85 (:inlove:), οπότε το KV-1 το πέρασα στα γρήγορα (ζαντολάστιχα, κανόνι, και μηχανή άλλαξα μόνο), και μετά το πούλησα για να αγοράσω το KV-1S (το οποίο είναι και πάλι 5άρι αν θυμάμαι καλά). Εξαρτάται από το ποιο τανκ θες να πάρεις μετά

  4. 53 minutes ago, karmen1983 said:

    Παιδες οποιος εχει δοκιμασμενη συνταγη για σπετσοφαι ας την αφησει πλζ :)

     

    Αν δεν κανω λαθος το αυθεντικο εχει μονο ντοματα λουκανικο και πιπεριά. Αλλα ειμαι ανοικτή σε προτασεις

    καλά τα λες -πολλοί βάζουν και μελιτζάνα- αλλά σπετζοφάι καλοκαιριάτικα;

    23 minutes ago, astrolabos said:

    Πίτσα με τυρί κοτόπουλο πιπεριά και τοματινι και στη κρουστά μεσα, λουκάνικο Φρανκφούρτης και κεφαλογραβιέρα Μετσόβου. 

     

    20160723_183045741_iOS.jpg

     

    holly fak

  5. Όσο ασχολήθηκα μαζί του, έπαιζα με τη στοκ (αναγκαστικά :p) και την 57mm project 413. Κοίταξα να αναβαθμίσω ας σουν ας πόσιμπλ σε kv1s

  6. 1 hour ago, panos444 said:

    4 αρια μάπα μιας και έχω κοβεναντερ

     

    κάτι λάθος μας λες εδώ. κάτι πολύ λάθος :p (covenanter από τα αγαπημένα μου)

    • Like 1
  7. δεν είναι σωστό να κάνουμε πλάκα με τέτοια γεγονότα αλλά ντάξει, κλαίω:

     

     

    διαβάστε και τις απαντήσεις, για να ολοκληρωθεί η υπερπαραγωγή :p

    • Like 3
  8. ντάξει, και για το oppo find 5 έλεγαν 2500mah, αλλά πρωί ως βράδυ με δυσκολία, από την αρχή :p

    ριβιού με διάρκεια μπαταρίας σε πραγματική χρήση αναρωτιέμαι αν υπάρχει

  9. 2 hours ago, Rusty_Cookie said:

    Eγω ψηνόμουν ασχημα να του σκάσω μια APCR με το T10, μιας και λεει πως είναι κακές, αλλά στον προηγούμενο αγώνα είχα κανει ΤΚ ενα πλατουν με Μ6 και ενα τιερ 3 και δεν ηθελα να γίνω μπλέ/φαω μονόωρη.

    τι; μόνο μια ώρα είναι το μπαν για όταν καθαρίζεις συμπαίκτες;

  10. δε θεωρείται ναρκωτικό, απλά προστατεύεται, γιατί πάει ο κάθε αρκουδόβλαχος, ξεριζώνει τα πάντα, ή κόβει ότι θέλει, όποτε το θέλει, και στο τέλος θα την εξαφανίσουμε τη ρίγανη.

    Εμείς απλά βγαίνουμε στην αυλή και μαζεύουμε φρέσκια :p

     

     

     

    ΑΠΟ ΤΗΝ ΑΛΛΗ ΟΜΩΣ, ΓΙΑ ΤΗΝ ΟΙΚΟΛΟΓΙΚΗ ΚΑΤΑΣΤΡΟΦΗ ΠΟΥ ΠΡΟΞΕΝΕΙ Η ΕΛ.ΑΣ., ΟΤΑΝ ΞΕΡΙΖΩΝΕΙ, ΚΑΤΑΣΤΡΕΦΕΙ ΚΑΙ ΚΑΙΕΙ ΕΚΑΤΟΝΤΑΔΕΣ ΔΕΝΤΡΑΚΙΑ ΚΑΝΝΑΒΗΣ ΔΕ ΜΙΛΑΕΙ ΚΑΝΕΙΣ (έπρεπε να το βγάλω από μέσα μου :p)

    • Like 5
  11. Για το συγκεκριμένο που με ενδιέφερε (να γεμίσω τη μνήμη με άσσους, απλά για να έχω σήμα), βρήκα λύση:

     

    η γραμμή:

     

    	signal ram : ram_type;

     

    γίνεται

    signal ram : ram_type := (others => "00000001");

     

     

    βέβαια, αν ήθελα να το γεμίσω με συγκεκριμένα δεδομένα δεν ξέρω τι θα έπρεπε να κάνω, αλλά προς το παρόν λειτουργεί. Όταν βρω και με τα συγκεκριμένα δεδομένα τι παίζει, θα ενημερώσω εδώ, μήπως τύχει και χρειαστεί σε κάποιον μελλοντικό νουμπά :p

    • Like 1
  12. Λοιπόν... προσπαθώ να φτιάξω μια μνήμη block ram, και έχω σκαλώσει στο πως θα την γεμίσω με δεδομένα εξ αρχής. Επί της ουσίας θα δουλεύει ως ROM, τουλάχιστον στην αρχή.

     

    Ο κώδικας είναι ο εξής:

     

    LIBRARY IEEE;
    USE IEEE.STD_LOGIC_1164.ALL;
    USE IEEE.NUMERIC_STD.ALL;
    
    entity RAM is
    	Generic (
    		DATA_WIDTH		: integer := 8;	    --platos
    		ADDRESS_WIDTH	: integer := 8		--theseis mnimis, bit
    	);
    	Port ( 
    		Clock 	: in  STD_LOGIC;
          Reset 	: in  STD_LOGIC;
    		DataIn 	: in  STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0);
    		Address	: in  STD_LOGIC_VECTOR (ADDRESS_WIDTH - 1 downto 0);
    		WriteEn	: in  STD_LOGIC;
    		Enable 	: in  STD_LOGIC;
    		DataOut : out STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0)
    	);
    end RAM;
    
    architecture Behavioral of RAM is
    	type ram_type is array ((2 ** ADDRESS_WIDTH) - 1 downto 0) of STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0);
    	signal ram : ram_type;
    
    function init_ram
    	return ram_type is
    	variable temp : ram_type;
    	begin
    --		for i in 0 to ((2**ADDRESS_WIDTH)-1) loop
    			--temp(i) := "00000001";
    			temp(1) := "00000001";
    			temp(2) := "00000001";
    			temp(3) := "00000001";
    			temp(4) := "00000001";
    			temp(5) := "00000001";
    			temp(6) := "00000001";
    			temp(7) := "00000001";
    			temp(8) := "00000001";
    			
    --		end loop;
    		return temp;
    	end init_ram;
    	
    begin
    	-- Read process
    	process (Clock)
    	begin
    		if rising_edge(Clock) then
    			if Reset = '1' then
    				-- Clear DataOut on Reset
    				DataOut <= (others => '0');
    			elsif Enable = '1' then
    				if WriteEn = '1' then
    					-- passthrough
    					DataOut <= DataIn;
    				else
    					-- read ram
    					DataOut <= ram(to_integer(signed(Address)));
    				end if;
    			end if;
    		end if;
    	end process;
    
    	-- Write process
    	process (Clock)
    	begin
    		if rising_edge(Clock) then
    			if Reset = '1' then
    				-- Clear Memory on Reset
    				for i in ram'Range loop
    					ram(i) <= (others => '0');
    				end loop;
    			elsif Enable = '1' then
    				if WriteEn = '1' then
    					-- Store DataIn to Current Memory Address
    					ram(to_integer(unsigned(Address))) <= DataIn;
    				end if;
    			end if;
    		end if;
    	end process;
    
    end Behavioral;

     

    ενώ το top module, στο οποίο περιέχεται η μνήμη, έχει το εξής process (δεν είναι όλο, προφανώς, απλά το κομμάτι που ελέγχει τη διεύθυνση της μνήμης)

     

    	if (ren = '1') then
    		addr <= std_logic_vector(to_unsigned((to_integer(unsigned(addr))+1),8));
    	end if;
    

     

    Synthesis βγάζει χωρίς errors, αλλά με σφάλματα, ότι δεν είναι αρχικοποιημένη η μνήμη (τι μας λες;)

    Simulation βγαίνει, αλλά το πρόβλημα είναι ότι το data out της μνήμης, βγάζει μονίμως 0.

    Το μόνο που έχω καταφέρει, για να πάρω κάποιο σήμα, είναι να δίνω Data In και ταυτόχρονα να έχω read enable, write enable ενεργά, οπότε δίνει την είσοδο ως έξοδο, αλλά προφανώς δεν κάνουμε δουλειά έτσι.

     

    Καμιά ιδέα;

  13. 1 minute ago, AlexanderK said:

    λένε ότι διορθώθηκε το hardware bootloop και εμφανίστηκε software bootloop που διορθώνεται με software reset...γνώμη μου δεν αξίζει.

    ΜΕ ΤΙΠΟΤΑ ΔΕΝ ΕΙΣΤΕ ΙΚΑΝΟΠΟΙΗΜΕΝΟΙ ΠΧΙΑ!!!

    • Like 3
×
×
  • Δημιουργία...

Important Information

Ο ιστότοπος theLab.gr χρησιμοποιεί cookies για να διασφαλίσει την καλύτερη εμπειρία σας κατά την περιήγηση. Μπορείτε να προσαρμόσετε τις ρυθμίσεις των cookies σας , διαφορετικά θα υποθέσουμε ότι είστε εντάξει για να συνεχίσετε.